个性化文献订阅>期刊> IEEE Transactions on Computers
 

Predicting and exploiting transient values for reduced register file pressure and energy consumption

  作者 Balkan, D; Sharkey, J; Ponomarev, D; Ghose, K  
  选自 期刊  IEEE Transactions on Computers;  卷期  2008年57-1;  页码  82-95  
  关联知识点  
 

[摘要]High-performance microprocessors use large, heavily ported physical register files ( RFs) to increase the instruction throughput. The high complexity and power dissipation of such RFs mainly stem from the need to maintain each and every result for a large number of cycles after the result generation. We observed that a significant fraction ( about 45 percent) of the result values are never read from the register file and are not required to reconstruct the precise state following branch mispredictions. In this paper, we propose SPeculative Avoidance of Register allocations to TrANsient values ( SPARTAN) - a set of microarchitectural extensions that predicts such transient values and, in many cases, completely avoids physical register allocations to them. We show that the transient values can be predicted as such with more than 97 percent accuracy, on average, across simulated SPEC 2000 benchmarks. We evaluate the performance of SPARTAN on a variety of configurations and show that significant improvements in performance and energy efficiency can be realized. Furthermore, we directly compare SPARTAN against a number of previously proposed schemes for register optimizations and show that our technique significantly outperforms all those schemes.

 
      被申请数(0)  
 

[全文传递流程]

一般上传文献全文的时限在1个工作日内